VHDL 中的标识符可以包含下划线,但不能用下划线结束。

时间:2022-11-12 06:36:17

相似题目