试用一个两位二进制数比较电路,实现两个两位二进制数A<sub>1</sub>A<sub>0</sub>,B<sub>1</sub>B<sub>0</sub>的比较逻辑功能。当A>B时,F<sub>1</sub>=1;A=B时,F<sub>2</sub>=1;A<B时,F<sub>3</sub>=1。

时间:2023-10-03 12:32:11

相似题目

推荐题目