2、一个具有异步清零功能的D锁存器,其Verilog HDL描述为: module latch_reset_1(input clk, reset, input d, ouput reg q ); always @ (_____) begin if (reset) q <= 1'b0; else if (clk) q <= d; end endmodule 括号中的敏感条件应该为()

A.clk, d B.posedge clk, d, posedge reset C.clk, d, reset D.posedge clk, posedge reset

时间:2024-03-07 19:42:08

相似题目