在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to127,下面哪个赋值语句是正确的。()。

A . idata:=32 B . idata<=16#A0# C . idata<=16#7#E1 D . idata:=B#1010#

时间:2022-11-01 03:23:15 所属题库:EDA技术题库

相似题目