在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的(     )

时间:2022-11-14 00:20:55

相似题目