在一个VHDL设计中idata 是一个信号,数据类型为integer, 数据范围0to 127, 下面哪个赋值语句是正确的()

A.idata := 32; B. idata <= 16A0; C. idata <= 167E1; D. idata := B1010;

时间:2023-07-05 09:24:16

相似题目